IEEE 1838:2019 pdf download

IEEE 1838:2019 pdf download.IEEE Standard for Test Access Architecture for Three-Dimensional Stacked Integrated Circuits.
1.6 Word usage
The word shall indicates mandatory requirements strictly to be followed in order to conform to the standard
and from which no deviation is permitted.
The word should indicates that among several possibilities one is recommended as particularly suitable, without mentioning or excluding others: or that a certain course of action is preferred but not necessarily required.
The word may is used to indicate a course of action permissible within the limits of the standard (may equals is permitted to).
The word can is used for statements of possibility and capability, whether material, physical. or causal (can equals is able to).
2. Normative references
The following referenced documents are indispensable for the application of this document (i.e., they must be understood and used, so each referenced document is cited in text and its relationship to this document is explained). For dated references, only the edition cited applies. For undated references, the latest edition of the referenced document (including any amendments or corrigenda) applies.
IEEE Std l1492013, IEEE Standard forTestAccess Portand Boundary-ScanArchitecture,
IEEE Std 15-2005, IEEE Standard Testability Method for Embedded Core-based Integrated Circuits.
IEEE Std 1687TM.2014, IEEE Standard tbr Access and Control of Instrumentation Embedded within a Semiconductor Device.
3. Definitions, acronyms, and abbreviations
3.1 Definitions
For the purposes of this document, the following terms and definitions apply. The IEEE Standards Dictionary
Online should be consulted for terms not defined in this clause.
active functional interface: An active functional interface includes all of the terminals under test while using the die-wrapper register (DWR).
apply event: A derivative event that coincides with the Shift, Capture, or Update event, whereby test data become active and effective as test stimuli. For example. while the wrapper is in IF mode, the apply event is when test data is applied from input cells onto the internal die functional logic.
bidirectional terminal: A terminal that can be controlled to switch between driving or receiving signals.
capture event: An event whereby the value present on the cell function input (CH) or cell functional output
(CFO) is stored in a storage clement in the shift path.
capture mode: The mode in which all required die-wrapper register (DWR) cells apply the capture event. For instance, during an external test mode, only the DWR cells attached to die terminal inputs are required to capture, all other die-wrapper register(DWR) cells can apply any event.
cell test input (CTI): A die-wrapper registcr(DWR) cell’s test data input.
cell test output (CTO): A die-wrapper register (DWR) cells test data output.IEEE 1838 pdf download.

匿名

Comment

Anonymous